uni

University stuff
git clone git://git.margiolis.net/uni.git
Log | Files | Refs | README | LICENSE

adder32.vhd (366B)


      1 library ieee;
      2 use ieee.std_logic_1164.all;
      3 use ieee.numeric_std.all;
      4 use ieee.std_logic_unsigned.all;
      5 
      6 entity adder32 is port (
      7 	x:	in std_logic_vector(31 downto 0);
      8 	y:	in std_logic_vector(31 downto 0);
      9 	z:	out std_logic_vector(31 downto 0)
     10 );
     11 end adder32;
     12 
     13 architecture dataflow of adder32 is
     14 begin
     15 	z <= std_logic_vector(unsigned(x) + unsigned(y));
     16 end dataflow;